לוגו של intelAN 987: עדכון סטטי חלקי
מדריך להגדרה מחדש

מדריך עדכון סטטי להגדרה מחדש חלקית עבור Intel® ™ Agilex F-Series FPGA Board Development Board

הערת אפליקציה זו מדגימה תצורה מחדש חלקית של עדכון סטטי (SUPR) בלוח הפיתוח של Intel ® F-Series FPGA. תצורה מחדש חלקית (PR) מאפשרת לך להגדיר מחדש חלק מ-Intel FPGA באופן דינמי, בעוד שה-FPGA שנותר ממשיך לפעול. יחסי ציבור מיישמים פרסונות מרובות באזור מסוים בעיצוב שלך, מבלי להשפיע על הפעולה באזורים מחוץ לאזור זה. מתודולוגיה זו מספקת את היתרון הבאtagזה במערכות שבהן מספר פונקציות חולקות את אותם משאבי FPGA בזמן:

  • מאפשר הגדרה מחדש של זמן ריצה
  • מגביר את מדרגיות העיצוב
  • מפחית את זמן השבתת המערכת
  • תומך בפונקציות ריבוי זמן דינמיות בעיצוב
  • מוריד עלות וצריכת חשמל על ידי שימוש יעיל בחלל הלוח

מהי תצורה מחדש חלקית של עדכון סטטי?

ביחסי ציבור מסורתיים, כל שינוי באזור הסטטי דורש הידור מחדש של כל פרסונה. עם זאת, עם SUPR אתה יכול להגדיר אזור מיוחד המאפשר שינוי, מבלי לדרוש הידור מחדש של פרסונות. טכניקה זו שימושית עבור חלק מעיצוב שאולי תרצה לשנות לצורך הפחתת סיכונים, אך לעולם אינו דורש הגדרה מחדש של זמן ריצה.

1.1. דרישות הדרכה
הדרכה זו דורשת את הדברים הבאים:

  • היכרות בסיסית עם זרימת ההטמעה והפרויקט של Intel Quartus® Prime Pro Edition FPGA files.
  •  התקנה של Intel Quartus Prime Pro Edition גרסה 22.3, עם תמיכה בהתקן Intel Agilex.
  • ליישום FPGA, JTAG חיבור עם לוח הפיתוח של Intel Agilex F-Series FPGA על הספסל.
  • הורד עיצוב עזר Fileס. מידע קשור
  • מדריך למשתמש להגדרה מחדש חלקית
  • הדרכות להגדרה מחדש חלקית
  • הדרכה מקוונת להגדרה מחדש חלקית

תאגיד אינטל. כל הזכויות שמורות. Intel, הלוגו של Intel וסימני Intel אחרים הם סימנים מסחריים של Intel Corporation או של חברות הבת שלה. אינטל מתחייבת לביצועים של מוצרי ה-FPGA והמוליכים למחצה שלה למפרטים הנוכחיים בהתאם לאחריות הסטנדרטית של אינטל, אך שומרת לעצמה את הזכות לבצע שינויים בכל מוצר ושירות בכל עת ללא הודעה מוקדמת. אינטל אינה נושאת באחריות או חבות הנובעת מהיישום או השימוש בכל מידע, מוצר או שירות המתוארים כאן, למעט כפי שהוסכם במפורש בכתב על ידי אינטל. ללקוחות אינטל מומלץ להשיג את הגרסה העדכנית ביותר של מפרטי המכשיר לפני הסתמכות על מידע שפורסם ולפני ביצוע הזמנות של מוצרים או שירותים. *שמות ומותגים אחרים עשויים להיטען כרכושם של אחרים.
ISO 9001:2015 רשום
1.2. Reference Design Overview
עיצוב התייחסות זה מורכב ממונה אחד של 32 סיביות. ברמת הלוח, העיצוב מחבר את השעון למקור של 50MHz, ולאחר מכן מחבר את הפלט לארבעה נוריות LED על הלוח. בחירת הפלט מהסיביות המונה, ברצף מסוים, גורמת לנוריות להבהב בתדר מסוים. המודול top_counter הוא אזור ה-SUPR.
איור 1. עיצוב התייחסות שטוח

לוגו של intel1.3. אזור עדכון סטטי נגמרview
האיור הבא מציג את דיאגרמת הבלוק עבור עיצוב יחסי ציבור הכולל אזור SUPR. בלוק A הוא האזור הסטטי העליון. בלוק B הוא אזור ה-SUPR. בלוק C הוא מחיצת ה-PR.
איור 2. עיצוב יחסי ציבור עם אזור SUPR

Intel Agilex F-Series FPGA Board Development - אזור

  • אזור סטטי עליון - מכיל היגיון עיצובי שאינו משתנה. שינוי אזור זה דורש הידור מחדש של כל הפרסונות הקשורות. האזור הסטטי כולל את החלק של העיצוב שאינו משתנה עבור אף פרסונה. אזור זה יכול לכלול משאבי פריפריה ומכשיר ליבה. עליך לרשום את כל התקשורת בין מחיצות SUPR ו-PR באזור הסטטי. דרישה זו עוזרת להבטיח סגירת עיתוי עבור כל פרסונות, ביחס לאזור הסטטי.
  • B SUPR Region—מכיל לוגיקה הליבה בלבד שעשוי להשתנות לצורך הפחתת סיכונים, אך לעולם לא דורש הגדרה מחדש של זמן ריצה. לאזור SUPR יש אותן דרישות והגבלות כמו למחיצת ה-PR. מחיצת SUPR יכולה להכיל משאבי ליבה בלבד. לכן, מחיצת SUPR חייבת להיות מחיצת צאצא של מחיצת השורש ברמה העליונה המכילה את פריפריית העיצוב והשעונים. שינוי אזור ה-SUPR מייצר אובייקט SRAM File (.sof) שתואם לכל ה-Raw Binary הקיימים File (.rbf) files עבור מחיצת יחסי ציבור C.
  • מחיצת C PR - מכילה היגיון שרירותי שניתן לתכנת מחדש בזמן ריצה עם כל היגיון עיצובי שמתאים ומשיג סגירת תזמון במהלך ההידור.

1.4. הורד עיצוב עזר Files
המדריך להגדרה מחדש חלקית זמין במיקום הבא: https://github.com/intel/fpga-partial-reconfig
להורדת המדריך:

  1. לחץ על שכפול או הורד.
  2. לחץ על הורד ZIP. פתח את ה-fpga-partial-reconfig-master.zip file.
  3. נווט אל תיקיית המשנה tutorials/agilex_pcie_devkit_blinking_led_supr כדי לגשת לעיצוב ההפניה.
    התיקייה השטוחה מורכבת מהדברים הבאים files:
    טבלה 1. עיצוב עזר Files
File שֵׁם תֵאוּר
חלק עליון. sv ברמה העליונה file המכיל את היישום השטוח של העיצוב. מודול זה מציג את המחיצה המשנה blinking_led ואת מודול top_counter.
t op_counter . sv מונה 32 סיביות ברמה עליונה השולט ישירות על LED [1]. הפלט הרשום של המונה שולט ב-LED [0], ומפעיל גם את LED [2] ואת LED [3] באמצעות מודול blinking_led.
מצמוץ_נודד. sdc מגדיר את מגבלות התזמון עבור הפרויקט.
מצמוץ_נודד. sv במדריך זה, אתה ממיר מודול זה למחיצת יחסי ציבור אב. המודול מקבל את הפלט הרשום של מודול top_counter, השולט על LED [2] ו-LED [3].
blinking_led.qpf פרויקט Intel Quartus Prime file המכיל את רשימת כל התיקונים בפרויקט.
מהבהב_נולד . qs f הגדרות Intel Quartus Prime file המכיל את המטלות וההגדרות עבור הפרויקט.

פֶּתֶק: התיקיה supr מכילה את הסט המלא של fileאתה יוצר באמצעות יישום זה פֶּתֶק. התייחס לאלה fileבכל נקודה במהלך ההליכה.
1.5. הדרכה בנושא עיצוב
השלבים הבאים מתארים יישום של SUPR עם עיצוב שטוח:

  • שלב 1: תחילת העבודה
  • שלב 2: צור מחיצות עיצוב
  • שלב 3: הקצאת אזורי מיקום וניתוב
  • שלב 4: הגדר פרסונות
  • שלב 5: צור גרסאות
  • שלב 6: הרכיב את הגרסה הבסיסית
  • שלב 7: הגדרת תיקוני יישום יחסי ציבור
  • שלב 8: שנה את הלוגיקה של SUPR
  • שלב 9: תכנת את המועצה

איור 3. זרימת קומפילציה של SUPR

לוח פיתוח FPGA של Intel Agilex F-Series - Flow

1.5.1. שלב 1: תחילת העבודה
להעתקת עיצוב ההתייחסות fileפנה אל סביבת העבודה שלך והרכיב את העיצוב השטוח blinking_led:

  1. לפני שתתחיל, הורד את עיצוב עזר Files בעמוד 5.
  2. צור את ספריית agilex_pcie_devkit_blinking_led_supr בסביבת העבודה שלך.
  3. העתק את תיקיית המשנה/agilex_pcie_devkit_blinking_led/flat שהורדת לספריית agilex_pcie_devkit_blinking_led_supr.
  4. בתוכנת Intel Quartus Prime Pro Edition, לחץ File ➤ פתח את הפרויקט ופתח את /flat/blinking_led.qpf.
  5. כדי להרכיב את עיצוב הבסיס, לחץ על עיבוד ➤ התחל קומפילציה. דוחות מנתח התזמון נפתחים אוטומטית כאשר ההידור הושלם. אתה יכול לסגור את מנתח התזמון לעת עתה.

1.5.2. שלב 2: צור מחיצות עיצוב
צור מחיצות עיצוב עבור כל אזור שברצונך להגדיר מחדש באופן חלקי. אתה יכול ליצור כל מספר של מחיצות עצמאיות או אזורי יחסי ציבור בפרויקט שלך. בצע את השלבים הבאים כדי ליצור מחיצות עיצוב עבור מופע u_blinking_led כמחיצת PR, ומופע u_top_counter כאזור SUPR:

  1. לחץ לחיצה ימנית על המופע u_blinking_led ב-Project Navigator ולחץ על עיצוב מחיצה
    ➤ ניתן להגדרה מחדש. סמל מחיצת עיצוב מופיע ליד כל מופע שמוגדר כמחיצה.
    איור 4. יצירת מחיצות עיצובלוח פיתוח FPGA של Intel Agilex F-Series - מחיצות
  2. חזור על שלב 1 כדי ליצור מחיצה עבור המופע u_top_counter.
  3. לחץ על Assignments ➤ Design Partitions Window. החלון מציג את כל מחיצות העיצוב בפרויקט.
    איור 5. חלון עיצוב מחיצות
    לוח פיתוח FPGA של Intel Agilex F-Series - חלון
  4. לחץ פעמיים על תא שם המחיצה blinking_led כדי לשנות את שמו ל-pr_partition. באופן דומה, שנה את שם המחיצה top_counter ל- supr_partition.
    לחלופין, הוספת השורות הבאות ל-blinking_led.qsf יוצרת את המחיצות האלה:
    set_instance_assignment -שם PARTITION pr_partition \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -הישות למעלה
    set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top

1.5.3. שלב 3: הקצאת אזורי מיקום וניתוב
עבור כל גרסת בסיס שאתה יוצר, המהדר משתמש בהקצאת אזור מחיצת PR כדי למקם את ליבת האישיות המתאימה באזור השמור. בצע את השלבים הבאים כדי לאתר ולהקצות אזור יחסי ציבור בתוכנית הקומה של המכשיר עבור הגרסה הבסיסית שלך:

  1. בלשונית Project Navigator Hierarchy, לחץ באמצעות לחצן העכבר הימני על המופע u_blinking_led ולאחר מכן לחץ על Logic Lock Region ➤ Create New Logic Lock Region. האזור מופיע בחלון Logic Lock Regions.
  2. ציין אזור רוחב של 5 וגובה של 5.
  3. ציין את קואורדינטות אזור המיקום עבור u_blinking_led בעמודה מקור. המקור מתאים לפינה השמאלית התחתונה של האזור. ציין את המקור בתור X166_Y199. המהדר מחשב (X170 Y203) כקואורדינטה מימין למעלה.
  4. הפעל את האפשרויות שמורות ו-Core-Only עבור האזור.
  5. לחץ פעמיים על האפשרות ניתוב אזור. תיבת הדו-שיח הגדרות אזור ניתוב של לוגיקה מופיעה.
  6. עבור סוג הניתוב, בחר קבוע עם הרחבה. אפשרות זו מקצה אוטומטית אורך הרחבה של אחד.
  7. חזור על השלבים הקודמים כדי להקצות את המשאבים הבאים למחיצת u_top_counter:
    • גובה-5
    • רוחב-5
    • מקור - X173_Y199
    • אזור ניתוב - קבוע עם הרחבה עם אורך הרחבה של אחד.
    • שמור—מופעל
    • Core-Only—On
    איור 6. חלון אזורי נעילת לוגיקה
    Intel Agilex F-Series FPGA Board Development - חלון אזורים
    פֶּתֶק: אזור הניתוב חייב להיות גדול יותר מאזור המיקום, כדי לספק גמישות נוספת עבור הניתוב של המהדרtagה, כאשר המהדר מנתב פרסונות שונות.
  8. אזור המיקום שלך חייב להקיף את ההיגיון blinking_led. כדי לבחור את אזור המיקום על ידי איתור הצומת ב-Chip Planner, לחץ לחיצה ימנית על שם האזור u_blinking_led בחלון Logic Lock Regions ולאחר מכן לחץ על אתר צומת ➤ אתר ב-Chip Planner.
  9.  תחת דוחות מחיצה, לחץ פעמיים על מחיצות עיצוב דוחות. מתכנן השבבים מדגיש ומקודד את האזור.

איור 7. מיקום צומת ה-chip Planner עבור blinking_led
Intel Agilex F-Series FPGA Board Development Board -blinking_ledלחלופין, הוספת השורות הבאות ל-blinking_led.qsf יוצרת את האזורים האלה:
set_instance_assignment -שם PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -הישות למעלה
set_instance_assignment -שם PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -name PLACE_REGION "X166 Y199 X170 Y203" -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -שם REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION "X165 Y198 X171 Y204" -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION "X173 Y199 X177 Y203" -to \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -שם REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION "X172 Y198 X178 Y204" -to \ u_top_counter
set_instance_assignment -שם RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. שלב 4: הגדר פרסונות

עיצוב התייחסות זה מגדיר שלוש פרסונות נפרדות עבור מחיצת ה-PR הבודדת, ופרסונת SUPR אחת עבור אזור ה-SUPR. בצע את השלבים הבאים כדי להגדיר ולכלול את הפרסונות הללו בפרויקט שלך. אם אתה משתמש בעורך הטקסט של Intel Quartus Prime, השבת את הוספה file
לפרויקט הנוכחי בעת שמירת ה files.

  1. צור חדשים blinking_led_slow.sv, blinking_led_empty.sv, ו-top_counter_fast.sv SystemVerilog files בספריית העבודה שלך. אשר כי blinking_led.sv כבר קיים בספריית העבודה.
  2.  הזן את התוכן הבא עבור SystemVerilog files:
    טבלה 2. Reference Design Personas SystemVerilog
    File שֵׁם תֵאוּר קוד
    blinking_led_slow. sv נוריות מהבהבות לאט יותר טווח זמן 1 ps / 1 ps 'default_nettype none
    מודול blinking_led_slow // שעון
    שעון חוט קלט, איפוס חוט קלט, חוט קלט [31:01 מונה,
    // אותות בקרה עבור חוט הפלט של נוריות LED_two_on,
    חוט פלט led_three_on localparam COUNTER_TAP = 27;
    reg led_two_on_r; רגל led_three_on_r; להקצות led_two_on = led_two_on_r; להקצות led_three_on = led_three_on_r; always_ff @(שעון עמדה) מתחיל led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; endmodule
    מהבהב_נורית_ריק. sv נוריות LED נשארות דולקות טווח זמן 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // שעון חוט קלט שעון, איפוס חוט קלט, חוט קלט [מונה 31:01, // אותות בקרה עבור ה-LEC- חוט פלט led_two_on, חוט פלט led_three_on
    נִמשָׁך…
    File שֵׁם תֵאוּר קוד
    // LED פעיל נמוך להקצות led_two_on = l'IDO; הקצה led_three_on = 11b0; endmodule
    top_counter_fast.sv SUPR שני סולם זמן 1 ps / 1 ps
    אִישִׁיוּת Thdefault_nettype none module top_counter_fast
    // אותות בקרה עבור חוט הפלט של נוריות LED_one_on, ספירת חוט פלט [31:0], // שעון חוט קלט שעון
    ) ; localparam COUNTER TAP = 23; reg [31:0] count_d; assign count = count_d; הקצה led_one_on = ount_d[COUNTER_TAP]; always_ff @(שעון עמידה) מתחיל count_d <= count_d + 2; סוֹף
    .:מודול
  3.  נְקִישָׁה File ➤ שמור בשם ושמור את ה-.sv files בספריית הפרויקט הנוכחית.

1.5.5. שלב 5: צור גרסאות
זרימת עיצוב יחסי הציבור משתמשת בתכונת תיקוני הפרויקט בתוכנת Intel Quartus Prime. העיצוב הראשוני שלך הוא הגרסה הבסיסית, שבה אתה מגדיר את גבולות האזור הסטטי והאזורים הניתנים להגדרה מחדש ב-FPGA. מהגרסה הבסיסית, אתה יוצר גרסאות נוספות. תיקונים אלה מכילים את ההטמעות השונות עבור אזורי יחסי הציבור. עם זאת, כל מהדורות הטמעת יחסי ציבור משתמשות באותן תוצאות מיקום וניתוב ברמה העליונה מהגרסה הבסיסית. כדי להרכיב עיצוב יחסי ציבור, אתה יוצר גרסה של יישום יחסי ציבור עבור כל פרסונה. בנוסף, עליך להקצות את סוג הגירסה של הגדרה חלקית - בסיס או הגדרה חלקית - יישום פרסונה עבור כל אחת מהגרסאות. הטבלה הבאה מפרטת את שם הגרסה וסוג הגרסה עבור כל אחת מהגרסאות. הגרסה של impl_blinking_led_supr_new.qsf היא היישום האישי של SUPR.
טבלה 3. שמות וסוגים של עדכון

שם הגרסה סוג עדכון
מצמוץ_נודד קונפיגורציה מחדש חלקית - בסיס
blinking_led_default קונפיגורציה חלקית - יישום פרסונה
blinking_led_slow קונפיגורציה חלקית - יישום פרסונה
מהבהב_נורית_ריק קונפיגורציה חלקית - יישום פרסונה
impl_blinking_led_supr_new קונפיגורציה חלקית - יישום פרסונה

1.5.5.1. הגדרת עדכון הבסיס
בצע את השלבים הבאים כדי להגדיר את blinking_led כגרסה הבסיסית:

  1. לחץ על Project ➤ Revisions.
  2. עבור סוג עדכון, בחר תצורה מחדש חלקית - בסיס.

לוח פיתוח FPGA של Intel Agilex F-Series - תיקוניםשלב זה מוסיף את הדברים הבאים ל-blinking_led.qsf:
##blinking_led.qsf set_global_assignment -שם REVISION_TYPE PR_BASE
1.5.5.2. יצירת תיקוני יישום
בצע את השלבים הבאים כדי ליצור את תיקוני היישום:

  1. בתיבת הדו-שיח Revisions, לחץ פעמיים על < >.
  2. ב-Revision name, ציין blinking_led_default ובחר blinking_led עבור Based on revision.
  3. עבור סוג העדכון, בחר קונפיגורציה חלקית - יישום אישי.
  4. השבת את אפשרות הגדר כגרסה נוכחית.
  5. חזור על שלבים 2 עד 5 כדי להגדיר את סוג העדכון עבור תיקוני היישום האחרים:
שם הגרסה סוג עדכון מבוסס על Revision
blinking_led_slow קונפיגורציה חלקית - יישום פרסונה מצמוץ_נודד
מהבהב_נורית_ריק קונפיגורציה חלקית - יישום פרסונה מצמוץ_נודד
impl_blinking_led_supr_new קונפיגורציה חלקית - יישום פרסונה מצמוץ_נודד

איור 8. יצירת תיקוני יישום

Intel Agilex F-Series FPGA Board Development - תיקוני יישוםכל .qsf file מכיל כעת את המשימה הבאה:
set_global_assignment -שם REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. שלב 6: הרכיב את הגרסה הבסיסית
בצע את השלבים הבאים כדי להרכיב את גרסת הבסיס ולייצא את האזורים הסטטיים וה-SUPR לשימוש מאוחר יותר בתיקוני יישום עבור אישיות יחסי ציבור חדשה:

  1. הגדר את blinking_led כגרסה הנוכחית אם עדיין לא הוגדר.
  2. בחלון מחיצות עיצוב, לחץ על (...) הסמוך לעמודה הימנית הרחוקה ביותר והפעל את פוסט הייצוא הסופי File טור. ניתן גם להשבית או לשנות את סדר העמודות.
  3. כדי לייצא אוטומטית את תמונת המצב הסופית של מחיצות עיצוב יישום יחסי ציבור לאחר כל קומפילציה, ציין את הדברים הבאים עבור Post Final Export File אפשרויות עבור מחיצות השורש וה-SUPR. ה-.qdb files ייצוא לספריית הפרויקט כברירת מחדל.
    • מחיצת שורש - מהבהב_led_static.qdb
    • supr_partition - מהבהב_led_supr_partition_final.qdb
    איור 9. ייצוא אוטומטי בחלון מחיצות עיצובלוח פיתוח FPGA של Intel Agilex F-Series - חלון מחיצותלחלופין, הקצאות qsf הבאות מייצאות את המחיצות באופן אוטומטי לאחר כל קומפילציה:
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | -טופ ישות
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. כדי להרכיב את גרסת הבסיס של blinking_led, לחץ על עיבוד ➤ התחל
    הַהדָרָה. לחלופין, אתה יכול להשתמש בפקודה הבאה כדי להרכיב גרסה זו:
    quartus_sh –flow compile blinking_led -c blinking_led לאחר הידור מוצלח, הבא files מופיעים בספריית הפרויקט:
    • blinking_led.sof
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

1.5.7. שלב 7: הגדר תיקוני יישום יחסי ציבור
עליך להכין את תיקוני יישום ה-PR לפני שתוכל ליצור את זרם הסיביות של ה-PR עבור תכנות מכשירים. הגדרה זו כוללת הוספת האזור הסטטי qdb file כמקור file עבור כל עדכון יישום. בנוסף, עליך לפרט
הישות המקבילה של אזור יחסי הציבור. בצע את השלבים הבאים כדי להגדיר את תיקוני יישום יחסי הציבור:

  1.  כדי להגדיר את הגרסה הנוכחית, לחץ על Project ➤ Revisions, בחר blinking_led_default כשם הגרסה, ולאחר מכן לחץ על הגדר נוכחי. לחלופין, תוכל לבחור את הגרסה הנוכחית בסרגל הכלים הראשי של Intel Quartus Prime.
  2. כדי לאמת את המקור הנכון עבור גרסה זו ליישום, לחץ על פרויקט ➤ הוסף/הסר Files בפרויקט. אשר שה- blinking_led.sv file מופיע ב- file רְשִׁימָה.לוח פיתוח FPGA מסדרת F-Intel Agilex - חלון מחיצות 1
  3. כדי לאמת את המקור הנכון file לתיקוני היישום, לחץ על פרויקט ➤ הוסף/הסר files ב-Project, והוסיפו את המקור הבא files עבור תיקוני היישום. אם קיים, הסר את blinking_led.sv מרשימת הפרויקטים files.
    Iיישום שם גרסה מָקוֹר File
    מהבהב_נורית_ריק blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. הגדר את blinking_led_default בתור העדכון הנוכחי.
  5. כדי לציין את .qdb file כמקור עבור root_partition, לחץ על Assignments ➤ Design Partitions Window. לחץ פעמיים על מסד הנתונים של המחיצות File תא וציין את ה-blinking_led_static.qdb file.
  6. באופן דומה, ציין blinking_led_supr_partition_final.qdb כמסד הנתונים של המחיצות File עבור supr_partition.

    איור 10.לוח פיתוח FPGA של Intel Agilex F-Series - צייןלחלופין, השתמש בהקצאות qsf הבאות כדי לציין את .qdb:
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter

  7. בחלון מחיצות עיצוב, לחץ על (...) הסמוך לעמודה הימנית הרחוקה ביותר והפעל את העמודה חיבור מחדש של ישות.
  8.  בתא חיבור מחדש של ישות, ציין את שם הישות החדש עבור מחיצת ה-PR שאתה משנה בגרסה הנוכחית של היישום. עבור גרסת היישום blinking_led_default, שם הישות הוא blinking_led. במקרה זה, אתה מחליף את המופע u_blinking_led מהקומפילציה של הגרסה הבסיסית עם הישות החדשה blinking_led. עבור תיקוני יישום אחרים, עיין בטבלה הבאה:

    עדכון ערך מחייב מחדש של ישות
    blinking_led_slow blinking_led_slow
    מהבהב_נורית_ריק מהבהב_נורית_ריק

    איור 11. כריכת ישות מחדשלוח פיתוח FPGA של Intel Agilex F-Series - Rebindingלחלופין, תוכל להשתמש בשורות הבאות ב-qsf של כל גרסה כדי להגדיר את ההקצאות:
    ##blinking_led_default.qsf
    set_instance_assignment -שם ENTITY_REBINDING blinking_led \ -to u_blinking_led
    ##blinking_led_slow.qsf
    set_instance_assignment -שם ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##blinking_led_empty.qsf
    set_instance_assignment -שם ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. מחק את טקסט מציין המיקום מהתא של Entity Re-binding עבור supr_partition.
  10. כדי להרכיב את העיצוב, לחץ על עיבוד ➤ התחל קומפילציה. לחלופין, השתמש בפקודה הבאה כדי לקמפל את הפרויקט הזה: quartus_sh –flow compile blinking_led –c blinking_led_default
  11. חזור על שלבים 4 עד 11 כדי להכין ולהרכיב את גרסאות היישום blinking_led_slow ו-blinking_led_empty.

1.5.8. שלב 8: שנה את הלוגיקה של SUPR
כדי לשנות את הפונקציונליות של הלוגיקה בתוך מחיצת SUPR, עליך לשנות את מקור מחיצת SUPR. השלם את השלבים הבאים כדי להחליף את מופע u_top_counter במחיצת SUPR בישות top_counter_fast.

  1. כדי להגדיר את גרסת הטמעת SUPR כעדכנית, לחץ על Project ➤ Revisions והגדר impl_blinking_led_supr_new כגרסה הנוכחית, או בחר את
    עדכון בסרגל הכלים הראשי של Intel Quartus Prime.
  2. כדי לאמת את המקור הנכון file לעדכון היישום, לחץ על פרויקט ➤
    הוסף/הסר files ב-Project, וודא ש-top_counter_fast.sv הוא המקור לגירסת היישום impl_blinking_led_supr_new. אם קיים, הסר את top_counter.sv מרשימת הפרויקטים files.Intel Agilex F-Series FPGA Board Development - Assignments
  3. כדי לציין את .qdb file המשויכת למחיצת השורש, לחץ על Assignments ➤ Design Partitions Window ולאחר מכן לחץ פעמיים על מסד הנתונים של המחיצות File תא כדי לציין blinking_led_static.qdb.
    לחלופין, השתמש בפקודה הבאה כדי להקצות זאת file: set_instance_assignment -שם QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  4. בתא ה-Entity Re-binding for pr_partition, ציין את שם הישות המתאים. עבור האקסית הזוample, ציין את הישות blinking_led_empty. במקרה זה, אתה מחליף את המופע u_blinking_led מהקומפילציה הבסיסית עם הישות החדשה linking_led_empty. השורה הבאה קיימת כעת ב-.qsf:
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led
  5. בתא Entity Re-binding for supr_partition, ציין את הישות top_counter_fast. top_counter_fast הוא השם של הישות הסטטית שמחליפה את u_top_counter כאשר אתה משלים את ה-SUPR.Intel Agilex F-Series FPGA Board Development - SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. כדי להרכיב את העיצוב, לחץ על עיבוד ➤ התחל קומפילציה. לחלופין, השתמש בפקודה הבאה כדי להרכיב גרסה זו של הפרויקט: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new

1.5.9. שלב 9: תכנת את המועצה
בצע את השלבים הבאים כדי לחבר ולתכנת את לוח הפיתוח של Intel Agilex F-Series FPGA.

  1. חבר את ספק הכוח ללוח הפיתוח של Intel Agilex F-Series FPGA.
  2. חבר כבל USB בין יציאת ה-USB של המחשב האישי שלך לחומרת התכנות ה-USB בלוח הפיתוח.
  3. פתח את תוכנת Intel Quartus Prime ולאחר מכן לחץ על כלים ➤ מתכנת. עיין בתכנות מועצת פיתוח.
  4. במתכנת, לחץ על הגדרת חומרה ולאחר מכן בחר USB-Blaster.
  5. לחץ על זיהוי אוטומטי ולאחר מכן בחר בהתקן AGFB014R24B.
  6.  לחץ על אישור. תוכנת Intel Quartus Prime מזהה ומעדכנת את המתכנת עם שלושת התקני FPGA על הלוח.
  7.  בחר את התקן AGFB014R24B, לחץ על שנה File, וטען את ה-blinking_led_default.sof file.
  8. אפשר תוכנית/קבע תצורה עבור ה-blinking_led_default.sof file.
  9. לחץ על התחל והמתן עד שסרגל ההתקדמות יגיע ל-100%.
  10.  שים לב שהנוריות על הלוח מהבהבות.
  11. כדי לתכנת רק את אזור ה-PR, לחץ לחיצה ימנית על ה-blinking_led_default.sof file במתכנת ולחץ על הוסף תכנות יחסי ציבור File. בחר ב-blinking_led_slow.pr_partition.rbf file.
  12. השבת את התוכנית/הגדר עבור ה-blinking_led_default.sof file.
  13.  אפשר תוכנית/קבע תצורה עבור blinking_led_slow.pr_partition.rbf file, ולאחר מכן לחץ על התחל. על הלוח, צפו ב-LED[0] וב-LED[1] ממשיכים להבהב. כאשר סרגל ההתקדמות מגיע ל-100%, LED[2] ו-LED[3] מהבהבות לאט יותר.
  14. כדי לתכנת מחדש את אזור יחסי הציבור, לחץ לחיצה ימנית על ה-.rbf file במתכנת ולאחר מכן לחץ על שנה תכנות יחסי ציבור File.
  15.  בחר את ה-.rbf fileששתי הפרסונות האחרות יצפו בהתנהגות על הלוח. טוען את ה-blinking_led_default.pr_partition.rbf file גורם לנוריות להבהב בתדר המקורי, וטעינת ה- blinking_led_empty.pr_partition.rbf file גורם לנוריות להישאר דולקות. 17. כדי לשנות את הלוגיקה של SUPR, חזור על שלב 7 לעיל כדי לבחור את impl_blinking_led_supr_new.sof. לאחר שינוי זה file, led [0:1] מהבהב כעת בקצב מהיר יותר מבעבר. יחסי הציבור האחרים .rbf files תואמים גם ל-.sof.
    פֶּתֶק: ה-Assembler יוצר .rbf file עבור אזור SUPR. עם זאת, אסור להשתמש בזה file לתכנת מחדש את ה-FPGA בזמן ריצה מכיוון שמחיצת ה-SUPR אינה מפעילה את גשר ההקפאה, בקר אזור ה-PR והיגיון אחר במערכת הכוללת. כאשר אתה מבצע שינויים בלוגיקה של מחיצת SUPR, עליך לתכנת מחדש את ה-.sof המלא file מתוך אוסף גרסה של יישום SUPR.

איור 12. תכנות לוח פיתוח
Intel Agilex F-Series FPGA Board - לוח1.5.9.1. פתרון בעיות בתכנות יחסי ציבור
הבטחת הגדרה נכונה של מתכנת Intel Quartus Prime והחומרה המחוברת עוזרת למנוע שגיאות כלשהן במהלך תכנות יחסי ציבור.
אם אתה נתקל בשגיאות תכנות יחסי ציבור, עיין ב"פתרון בעיות בתכנות יחסי ציבור" במדריך למשתמש של Intel Quartus Prime Pro Edition: הגדרה מחדש חלקית לקבלת עצות שלב אחר שלב לפתרון בעיות.
מידע קשור

פתרון בעיות בתכנות יחסי ציבור

1.5.10. שינוי מחיצת SUPR
אתה יכול לשנות מחיצת SUPR קיימת. לאחר שינוי מחיצת SUPR, עליך להרכיב אותה, ליצור את ה-.sof file, ותכנת את הלוח, מבלי להרכיב את הפרסונות האחרות. למשלample, בצע את השלבים הבאים כדי לשנות את המודול top_counter_fast.sv כדי לספור מהר יותר:

  1. הגדר את impl_blinking_led_supr_new כגרסה הנוכחית.
  2.  ב-top_counter_fast.sv file, החלף את המשפט count_d + 2 ב-count_d + 4.
  3.  הפעל את הפקודות הבאות כדי לסנתז מחדש את בלוק SUPR וליצור את ה-.sof החדש file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
    ה-.sof שנוצר מכיל כעת את אזור ה-SUPR החדש, ומשתמש ב-blinking_led עבור דמות ברירת המחדל (הפעלה).

1.6. היסטוריית תיקונים של מסמך של AN 987: היסטוריית התיקונים של עדכון סטטי חלקי תצורה מחדש של מדריך

גרסת מסמך גרסת Intel Quartus Prime שינויים
2022.10.24 22. פרסום ראשוני של המסמך.

מעודכן עבור Intel® Quartus®Prime Design Suite: 22.3

תשובות לשאלות נפוצות מובילות:

שלח משוב

ש מהי תצורה חלקית של עדכון סטטי

תצורה מחדש חלקית של עדכון סטטי בעמוד 3

ש מה אני צריך עבור הדרכה זו?

דרישות הדרכה בעמוד 3

ש היכן אוכל לקבל את עיצוב ההתייחסות?

עיצוב עזר להורדה Files בעמוד 5

ש: כיצד אוכל ליצור עיצוב SUPR?

הדרכה בנושא עיצוב בעמוד 6

ש מהי פרסונת יחסי ציבור?

הגדר פרסונות בעמוד 10

ש: כיצד אוכל לשנות את לוגיקה של SUPR? א שנה את לוגיקת ה-SUPR בעמוד 16

א שנה את לוגיקת ה-SUPR בעמוד 16

ש: איך אני מתכנת את הלוח?

תכנת את המועצה בעמוד 18

ש מהן הבעיות והמגבלות המוכרות של יחסי ציבור?

פורומי תמיכה של אינטל FPGA: יחסי ציבור

לוח פיתוח FPGA של Intel Agilex F-Series - אייקון גרסה מקוונת
לוח פיתוח FPGA של Intel Agilex F-Series - אייקון 154 שלח משוב

מזהה: 749443
AN-987
גרסה: 2022.10.24

מסמכים / משאבים

לוח פיתוח FPGA של Intel Agilex F-Series [pdfמדריך למשתמש
Agilex F-Series, Agilex F-Series FPGA Board Development Board, FPGA Development Board, Board Development, Board

הפניות

השאר תגובה

כתובת האימייל שלך לא תפורסם. שדות חובה מסומנים *